Jump to content
Light-O-Rama Forums

"Dead" spot in CCR during show


prevue12

Recommended Posts

Going nuts, this is a new one on me. I have four Original CCRs units 10 - 13 from left to right, 10 and 13 work great during the song, 11, 12 have a "dead" spot at the beginning of each CCR. I jump to Hardware utility thinking I have busted arches, nope they work. Go to preview to see if I did something odd with starting channel, nope they start at 1. Compared them to units 10 and 13 in preview to try to see if something is different, didn't find anything.

(Using S5 5.3.10)

also swapped out  controllers, and checked firmware, no change.  Any Ideas on other things to look at?

Screen shot from preview

pic of the "dead" spot during playback

Pic of it working using Hardware Utility.

 

1a.png

4a.jpg

5a.jpg

Link to comment
Share on other sites

Debug time...

I see two arches there. I would first swap the connections from box to arches to see if the problem moves to the other arch.

if it does, then it has to be something in the sequencing.  Using Pixel editor effect generator, verify your effect works as expected.

if it does, there must be something conflicting at the start of your sequence to cause the black.

try changing the effect to a simple colorwash and see if the black spot goes away.

 

Another thing you can do is Create a brand new, clean test sequence with just the one arch in it and see if the spot is there.  If you see a black spot there while doing just a green colorwash and only at the start, then something is super screwy.

A lot of times this happens because there is a prop that maybe you don’t even use that has the same channels.  If that prop has something going on at the start of the sequence, the conflict can cause anything abnormal to happen.

 

 

Link to comment
Share on other sites

Does the first pixel where it starts working, behave as it should, or is it behaving as the first pixel should?

 

Link to comment
Share on other sites

  • The topic was locked
Guest
This topic is now closed to further replies.
×
×
  • Create New...